######################################################## ## USB Host Interface Signal ######################################################## #// FMC_HPC0 set_property PACKAGE_PIN Y4 [get_ports "SL_DT[0]"] ;# FMC_HPC0_LA00_CC_P set_property PACKAGE_PIN Y3 [get_ports "SL_DT[1]"] ;# FMC_HPC0_LA00_CC_N set_property PACKAGE_PIN AB4 [get_ports "SL_DT[2]"] ;# FMC_HPC0_LA01_CC_P set_property PACKAGE_PIN AC4 [get_ports "SL_DT[3]"] ;# FMC_HPC0_LA01_CC_N set_property PACKAGE_PIN V2 [get_ports "SL_DT[4]"] ;# FMC_HPC0_LA02_P set_property PACKAGE_PIN V1 [get_ports "SL_DT[5]"] ;# FMC_HPC0_LA02_N set_property PACKAGE_PIN Y2 [get_ports "SL_DT[6]"] ;# FMC_HPC0_LA03_P set_property PACKAGE_PIN Y1 [get_ports "SL_DT[7]"] ;# FMC_HPC0_LA03_N set_property PACKAGE_PIN AA2 [get_ports "SL_DT[8]"] ;# FMC_HPC0_LA04_P set_property PACKAGE_PIN AA1 [get_ports "SL_DT[9]"] ;# FMC_HPC0_LA04_N set_property PACKAGE_PIN AB3 [get_ports "SL_DT[10]"] ;# FMC_HPC0_LA05_P set_property PACKAGE_PIN AC3 [get_ports "SL_DT[11]"] ;# FMC_HPC0_LA05_N set_property PACKAGE_PIN AC2 [get_ports "SL_DT[12]"] ;# FMC_HPC0_LA06_P set_property PACKAGE_PIN AC1 [get_ports "SL_DT[13]"] ;# FMC_HPC0_LA06_N set_property PACKAGE_PIN U5 [get_ports "SL_DT[14]"] ;# FMC_HPC0_LA07_P set_property PACKAGE_PIN U4 [get_ports "SL_DT[15]"] ;# FMC_HPC0_LA07_N set_property PACKAGE_PIN Y10 [get_ports "SL_DT[16]"] ;# FMC_HPC0_LA15_P set_property PACKAGE_PIN Y9 [get_ports "SL_DT[17]"] ;# FMC_HPC0_LA15_N set_property PACKAGE_PIN Y12 [get_ports "SL_DT[18]"] ;# FMC_HPC0_LA16_P set_property PACKAGE_PIN AA12[get_ports "SL_DT[19]"] ;# FMC_HPC0_LA16_N set_property PACKAGE_PIN P11 [get_ports "SL_DT[20]"] ;# FMC_HPC0_LA17_CC_P set_property PACKAGE_PIN N11 [get_ports "SL_DT[21]"] ;# FMC_HPC0_LA17_CC_N set_property PACKAGE_PIN N9 [get_ports "SL_DT[22]"] ;# FMC_HPC0_LA18_CC_P set_property PACKAGE_PIN N8 [get_ports "SL_DT[23]"] ;# FMC_HPC0_LA18_CC_N set_property PACKAGE_PIN L13 [get_ports "SL_DT[24]"] ;# FMC_HPC0_LA19_P set_property PACKAGE_PIN K13 [get_ports "SL_DT[25]"] ;# FMC_HPC0_LA19_N set_property PACKAGE_PIN N13 [get_ports "SL_DT[26]"] ;# FMC_HPC0_LA20_P set_property PACKAGE_PIN M13 [get_ports "SL_DT[27]"] ;# FMC_HPC0_LA20_N set_property PACKAGE_PIN N12 [get_ports "SL_DT[28]"] ;# FMC_HPC0_LA21_N set_property PACKAGE_PIN M15 [get_ports "SL_DT[29]"] ;# FMC_HPC0_LA22_P set_property PACKAGE_PIN M14 [get_ports "SL_DT[30]"] ;# FMC_HPC0_LA22_N set_property PACKAGE_PIN L16 [get_ports "SL_DT[31]"] ;# FMC_HPC0_LA23_P set_property PACKAGE_PIN V4 [get_ports "SL_PCLK"] ;# FMC_HPC0_LA08_P set_property PACKAGE_PIN V3 [get_ports "SL_CS_N"] ;# FMC_HPC0_LA08_N set_property PACKAGE_PIN W2 [get_ports "SL_WR_N"] ;# FMC_HPC0_LA09_P set_property PACKAGE_PIN W1 [get_ports "SL_OE_N"] ;# FMC_HPC0_LA09_N set_property PACKAGE_PIN W5 [get_ports "SL_RD_N"] ;# FMC_HPC0_LA10_P set_property PACKAGE_PIN W4 [get_ports "SL_FLAGA"] ;# FMC_HPC0_LA10_N set_property PACKAGE_PIN AB6 [get_ports "SL_FLAGB"] ;# FMC_HPC0_LA11_P set_property PACKAGE_PIN AB5 [get_ports "SL_FLAGC"] ;# FMC_HPC0_LA11_N set_property PACKAGE_PIN W6 [get_ports "SL_FLAGD"] ;# FMC_HPC0_LA12_N set_property PACKAGE_PIN W7 [get_ports "SL_PKTEND_N"] ;# FMC_HPC0_LA12_P set_property PACKAGE_PIN AB8 [get_ports "SL_RST_N"] ;# FMC_HPC0_LA13_P set_property PACKAGE_PIN AC7 [get_ports "SL_AD[1]"] ;# FMC_HPC0_LA14_P set_property PACKAGE_PIN AC6 [get_ports "SL_AD[0]"] ;# FMC_HPC0_LA14_N set_property PACKAGE_PIN AC8 [get_ports "SL_MODE[0]"] ;# FMC_HPC0_LA13_N set_property PACKAGE_PIN P12 [get_ports "SL_MODE[1]"] ;# FMC_HPC0_LA21_P set_property IOSTANDARD LVCMOS18 [get_ports {SL_*}] set_property SLEW FAST [get_ports {SL_*}] set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets SL_MODE_IBUF[0]_inst/O] set_property IOB TRUE [get_cells {u_dut/u_master/SL_DT_O_reg*}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_RD_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_WR_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_OE_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_PKTEND_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_AD_reg*}]