######################################################## ## USB Host Interface Signal ######################################################## ## FMC set_property PACKAGE_PIN E24 [get_ports "SL_DT[0]"] ;# FMCP_HSPC_LA00_CC_P set_property PACKAGE_PIN E23 [get_ports "SL_DT[1]"] ;# FMCP_HSPC_LA00_CC_N set_property PACKAGE_PIN F26 [get_ports "SL_DT[2]"] ;# FMCP_HSPC_LA01_CC_P set_property PACKAGE_PIN F25 [get_ports "SL_DT[3]"] ;# FMCP_HSPC_LA01_CC_N set_property PACKAGE_PIN L23 [get_ports "SL_DT[4]"] ;# FMCP_HSPC_LA02_P set_property PACKAGE_PIN K22 [get_ports "SL_DT[5]"] ;# FMCP_HSPC_LA02_N set_property PACKAGE_PIN B27 [get_ports "SL_DT[6]"] ;# FMCP_HSPC_LA03_P set_property PACKAGE_PIN A26 [get_ports "SL_DT[7]"] ;# FMCP_HSPC_LA03_N set_property PACKAGE_PIN C25 [get_ports "SL_DT[8]"] ;# FMCP_HSPC_LA04_P set_property PACKAGE_PIN C24 [get_ports "SL_DT[9]"] ;# FMCP_HSPC_LA04_N set_property PACKAGE_PIN H27 [get_ports "SL_DT[10]"] ;# FMCP_HSPC_LA05_P set_property PACKAGE_PIN G27 [get_ports "SL_DT[11]"] ;# FMCP_HSPC_LA05_N set_property PACKAGE_PIN E22 [get_ports "SL_DT[12]"] ;# FMCP_HSPC_LA06_P set_property PACKAGE_PIN D22 [get_ports "SL_DT[13]"] ;# FMCP_HSPC_LA06_N set_property PACKAGE_PIN K27 [get_ports "SL_DT[14]"] ;# FMCP_HSPC_LA07_P set_property PACKAGE_PIN J27 [get_ports "SL_DT[15]"] ;# FMCP_HSPC_LA07_N set_property PACKAGE_PIN J26 [get_ports "SL_DT[16]"] ;# FMCP_HSPC_LA15_P set_property PACKAGE_PIN J25 [get_ports "SL_DT[17]"] ;# FMCP_HSPC_LA15_N set_property PACKAGE_PIN K24 [get_ports "SL_DT[18]"] ;# FMCP_HSPC_LA16_P set_property PACKAGE_PIN K23 [get_ports "SL_DT[19]"] ;# FMCP_HSPC_LA16_N set_property PACKAGE_PIN F18 [get_ports "SL_DT[20]"] ;# FMCP_HSPC_LA17_CC_P set_property PACKAGE_PIN E17 [get_ports "SL_DT[21]"] ;# FMCP_HSPC_LA17_CC_N set_property PACKAGE_PIN E19 [get_ports "SL_DT[22]"] ;# FMCP_HSPC_LA18_CC_P set_property PACKAGE_PIN E18 [get_ports "SL_DT[23]"] ;# FMCP_HSPC_LA18_CC_N set_property PACKAGE_PIN B18 [get_ports "SL_DT[24]"] ;# FMCP_HSPC_LA19_P set_property PACKAGE_PIN B17 [get_ports "SL_DT[25]"] ;# FMCP_HSPC_LA19_N set_property PACKAGE_PIN A21 [get_ports "SL_DT[26]"] ;# FMCP_HSPC_LA20_P set_property PACKAGE_PIN A20 [get_ports "SL_DT[27]"] ;# FMCP_HSPC_LA20_N set_property PACKAGE_PIN A18 [get_ports "SL_DT[28]"] ;# FMCP_HSPC_LA21_N set_property PACKAGE_PIN B16 [get_ports "SL_DT[29]"] ;# FMCP_HSPC_LA22_P set_property PACKAGE_PIN A16 [get_ports "SL_DT[30]"] ;# FMCP_HSPC_LA22_N set_property PACKAGE_PIN B21 [get_ports "SL_DT[31]"] ;# FMCP_HSPC_LA23_P set_property PACKAGE_PIN E27 [get_ports "SL_PCLK"] ;# FMCP_HSPC_LA08_P set_property PACKAGE_PIN D27 [get_ports "SL_CS_N"] ;# FMCP_HSPC_LA08_N set_property PACKAGE_PIN E26 [get_ports "SL_WR_N"] ;# FMCP_HSPC_LA09_P set_property PACKAGE_PIN D26 [get_ports "SL_OE_N"] ;# FMCP_HSPC_LA09_N set_property PACKAGE_PIN B23 [get_ports "SL_RD_N"] ;# FMCP_HSPC_LA10_P set_property PACKAGE_PIN A23 [get_ports "SL_FLAGA"] ;# FMCP_HSPC_LA10_N set_property PACKAGE_PIN B26 [get_ports "SL_FLAGB"] ;# FMCP_HSPC_LA11_P set_property PACKAGE_PIN B25 [get_ports "SL_FLAGC"] ;# FMCP_HSPC_LA11_N set_property PACKAGE_PIN H22 [get_ports "SL_FLAGD"] ;# FMCP_HSPC_LA12_N set_property PACKAGE_PIN J22 [get_ports "SL_PKTEND_N"] ;# FMCP_HSPC_LA12_P set_property PACKAGE_PIN A25 [get_ports "SL_RST_N"] ;# FMCP_HSPC_LA13_P set_property PACKAGE_PIN C23 [get_ports "SL_AD[1]"] ;# FMCP_HSPC_LA14_P set_property PACKAGE_PIN B22 [get_ports "SL_AD[0]"] ;# FMCP_HSPC_LA14_N set_property PACKAGE_PIN A24 [get_ports "SL_MODE[0]"] ;# FMCP_HSPC_LA13_N set_property PACKAGE_PIN A19 [get_ports "SL_MODE[1]"] ;# FMCP_HSPC_LA21_P ###set_property IOSTANDARD LVCMOS18 [get_ports {SL_*}] set_property SLEW FAST [get_ports {SL_*}] set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets SL_MODE_IBUF[0]_inst/O] set_property IOB TRUE [get_cells {u_dut/u_master/SL_DT_O_reg*}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_RD_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_WR_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_OE_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_PKTEND_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_AD_reg*}] # for ODDR of BFM set_property LOC BITSLICE_RX_TX_X0Y136 [get_cells -hier -filter name=~*/u_gpif2mst/*u_sl_pclk]