######################################################## ## USB Host Interface Signal ######################################################## ## FMC set_property PACKAGE_PIN AU12 [get_ports "SL_DT[0]"] ;# FMC3_LA00_CCP set_property PACKAGE_PIN AU11 [get_ports "SL_DT[1]"] ;# FMC3_LA00_CCN set_property PACKAGE_PIN AU10 [get_ports "SL_DT[2]"] ;# FMC3_LA01_CCP set_property PACKAGE_PIN AV10 [get_ports "SL_DT[3]"] ;# FMC3_LA01_CCN set_property PACKAGE_PIN AP11 [get_ports "SL_DT[4]"] ;# FMC3_LA02P set_property PACKAGE_PIN AP10 [get_ports "SL_DT[5]"] ;# FMC3_LA02N set_property PACKAGE_PIN AP12 [get_ports "SL_DT[6]"] ;# FMC3_LA03P set_property PACKAGE_PIN AR11 [get_ports "SL_DT[7]"] ;# FMC3_LA03N set_property PACKAGE_PIN AR10 [get_ports "SL_DT[8]"] ;# FMC3_LA04P set_property PACKAGE_PIN AT10 [get_ports "SL_DT[9]"] ;# FMC3_LA04N set_property PACKAGE_PIN AR12 [get_ports "SL_DT[10]"] ;# FMC3_LA05P set_property PACKAGE_PIN AT12 [get_ports "SL_DT[11]"] ;# FMC3_LA05N set_property PACKAGE_PIN AY11 [get_ports "SL_DT[12]"] ;# FMC3_LA06P set_property PACKAGE_PIN AY10 [get_ports "SL_DT[13]"] ;# FMC3_LA06N set_property PACKAGE_PIN AY9 [get_ports "SL_DT[14]"] ;# FMC3_LA07P set_property PACKAGE_PIN BA9 [get_ports "SL_DT[15]"] ;# FMC3_LA07N set_property PACKAGE_PIN C15 [get_ports "SL_DT[16]"] ;# FMC3_LA15P set_property PACKAGE_PIN C14 [get_ports "SL_DT[17]"] ;# FMC3_LA15N set_property PACKAGE_PIN B13 [get_ports "SL_DT[18]"] ;# FMC3_LA16P set_property PACKAGE_PIN B12 [get_ports "SL_DT[19]"] ;# FMC3_LA16N set_property PACKAGE_PIN J16 [get_ports "SL_DT[20]"] ;# FMC3_LA17_CCP set_property PACKAGE_PIN H16 [get_ports "SL_DT[21]"] ;# FMC3_LA17_CCN set_property PACKAGE_PIN K17 [get_ports "SL_DT[22]"] ;# FMC3_LA18_CCP set_property PACKAGE_PIN K16 [get_ports "SL_DT[23]"] ;# FMC3_LA18_CCN set_property PACKAGE_PIN G16 [get_ports "SL_DT[24]"] ;# FMC3_LA19P set_property PACKAGE_PIN G15 [get_ports "SL_DT[25]"] ;# FMC3_LA19N set_property PACKAGE_PIN H15 [get_ports "SL_DT[26]"] ;# FMC3_LA20P set_property PACKAGE_PIN H14 [get_ports "SL_DT[27]"] ;# FMC3_LA20N set_property PACKAGE_PIN G13 [get_ports "SL_DT[28]"] ;# FMC3_LA21N set_property PACKAGE_PIN J14 [get_ports "SL_DT[29]"] ;# FMC3_LA22P set_property PACKAGE_PIN J13 [get_ports "SL_DT[30]"] ;# FMC3_LA22N set_property PACKAGE_PIN K15 [get_ports "SL_DT[31]"] ;# FMC3_LA23P set_property PACKAGE_PIN BA10 [get_ports "SL_PCLK"] ;# FMC3_LA08P set_property PACKAGE_PIN BB9 [get_ports "SL_CS_N"] ;# FMC3_LA08N set_property PACKAGE_PIN BB11 [get_ports "SL_WR_N"] ;# FMC3_LA09P set_property PACKAGE_PIN BB10 [get_ports "SL_OE_N"] ;# FMC3_LA09N set_property PACKAGE_PIN F14 [get_ports "SL_RD_N"] ;# FMC3_LA10P set_property PACKAGE_PIN F13 [get_ports "SL_FLAGA"] ;# FMC3_LA10N set_property PACKAGE_PIN A15 [get_ports "SL_FLAGB"] ;# FMC3_LA11P set_property PACKAGE_PIN A14 [get_ports "SL_FLAGC"] ;# FMC3_LA11N set_property PACKAGE_PIN C16 [get_ports "SL_FLAGD"] ;# FMC3_LA12N set_property PACKAGE_PIN D16 [get_ports "SL_PKTEND_N"] ;# FMC3_LA12P set_property PACKAGE_PIN E16 [get_ports "SL_RST_N"] ;# FMC3_LA13P set_property PACKAGE_PIN B16 [get_ports "SL_AD[1]"] ;# FMC3_LA14P set_property PACKAGE_PIN B15 [get_ports "SL_AD[0]"] ;# FMC3_LA14N set_property PACKAGE_PIN E15 [get_ports "SL_MODE[0]"] ;# FMC3_LA13N set_property PACKAGE_PIN H13 [get_ports "SL_MODE[1]"] ;# FMC3_LA21P ###set_property IOSTANDARD LVCMOS18 [get_ports {SL_*}] set_property SLEW FAST [get_ports {SL_*}] set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets SL_MODE_IBUF[0]_inst/O] set_property IOB TRUE [get_cells {u_dut/u_master/SL_DT_O_reg*}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_RD_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_WR_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_OE_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_PKTEND_N_reg}] set_property IOB TRUE [get_cells {u_dut/u_master/SL_AD_reg*}]